2 nm process

(Redirected from 2nm)

In semiconductor manufacturing, the 2 nm process is the next MOSFET (metal–oxide–semiconductor field-effect transistor) die shrink after the 3 nm process node.

The term "2 nanometer", or alternatively "20 angstrom" (a term used by Intel), has no relation to any actual physical feature (such as gate length, metal pitch or gate pitch) of the transistors. According to the projections contained in the 2021 update of the International Roadmap for Devices and Systems published by the Institute of Electrical and Electronics Engineers (IEEE), a "2.1 nm node range label" is expected to have a contacted gate pitch of 45 nanometers and a tightest metal pitch of 20 nanometers.[1]

Process Gate pitch Metal pitch Year
7 nm 60 nm 40 nm 2018
5 nm 51 nm 30 nm 2020
3 nm 48 nm 24 nm 2022
2 nm 45 nm 20 nm 2025
1 nm 42 nm 16 nm 2027

As such, 2 nm is used primarily as a marketing term by the semiconductor industry to refer to a new, improved generation of chips in terms of increased transistor density (a higher degree of miniaturization), increased speed, and reduced power consumption compared to the previous 3 nm node generation.[2][3]

TSMC began risk production of its 2 nm process in July 2024, with mass production planned for the second half of 2025,[4][5] and Samsung plans to start production in 2025.[6] Intel initially forecasted production in 2024 but scrapped its 2 nm node in favor of the smaller 18 angstrom (18A) node.[7]

Background

edit

By 2018, a number of transistor architectures had been proposed for the eventual replacement of FinFET, most of which were based on the concept of GAAFET:[8] horizontal and vertical nanowires, horizontal nanosheet transistors[9][10] (Samsung MBCFET, Intel Nanoribbon), vertical FET (VFET) and other vertical transistors,[11][12] complementary FET (CFET), stacked FET, several kinds of horizontal gate-all-around transistors such as nano-ring, hexagonal wire, square wire, and round wire gate-all-around transistors[13] and negative-capacitance FET (NC-FET) which uses drastically different materials.[14]

In late 2018, TSMC chairman Mark Liu predicted chip scaling would continue to 3 nm and 2 nm nodes;[15] however, as of 2019, other semiconductor specialists were undecided as to whether nodes beyond 3 nm could become viable.[16][needs update] TSMC began research on 2 nm in 2019[17]—expecting to transition from FinFET to GAAFET transistor type.[18][needs update] In July 2021, TSMC received governmental approval to build its 2 nm plant. In August 2020, it began building an R&D lab for 2 nm technology in Hsinchu, expected to become partially operational by 2021.[19][needs update] In September 2020, TSMC confirmed this and stated that it could also install production at Taichung depending on demand.[20][needs update] According to the Taiwan Economic Daily (2020), expectations were for high yield risk production in late 2023.[21][22][needs update] According to Nikkei, the company at that time expected to have been installing production equipment for 2 nm by 2023.[23][needs update]

Intel's 2019 roadmap scheduled potentially equivalent 3 nm and 2 nm nodes for 2025 and 2027, respectively, and in December 2019 announced plans for 1.4 nm production in 2029.[24][needs update]

At the end of 2020, seventeen European Union countries signed a joint declaration to develop their entire semiconductor industry, including developing process nodes as small as 2 nm, as well as designing and manufacturing custom processors, assigning up to €145 billion in funds.[25][26][needs update]

In May 2021, IBM announced it had produced chips with 2 nm-class GAAFET transistors using three silicon layer nanosheets with a gate length of 12 nm.[27][28][29]

In July 2021, Intel unveiled its process node roadmap from 2021 onwards. The company confirmed their 2 nm process node called "Intel 20A",[notes 1] with "A" referring to an angstrom, a unit equivalent to 0.1 nanometers.[30] At the same time, they introduced a new process node naming scheme that aligned their product names with similar designations from their main competitors.[31] Intel's 20A node was at that time projected to have been their first to move from FinFET to Gate-All-Around transistors (GAAFET); Intel's version was named 'RibbonFET'.[31] Their 2021 roadmap scheduled the Intel 20A node for volume production in 2024 and Intel 18A for 2025.[30][31][needs update]

In October 2021, at Samsung Foundry Forum 2021, Samsung announced it would start mass production with its MBCFET (multi-bridge channel FET, Samsung's version of GAAFET) 2 nm process in 2025.[32][needs update]

In April 2022, TSMC announced its GAAFET N2 process technology would enter risk production phase at the end of 2024 and production phase in 2025.[4] In July 2022, TSMC announced that its N2 process technology was expected to feature backside power delivery and was expected to offer 10–15% higher performance at iso power or 20–30% lower power at iso performance and over 20% higher transistor density compared to N3E.[33][needs update]

In July 2022, Samsung made a number of disclosures regarding the company's previously forthcoming process technology called "2GAP" (2nm Gate All-around Production): the process previously remained on track for 2025 launch into mass production; number of nanosheets was projected to increase from 3 in "3GAP" to 4; the company worked on several improvements of metallization, namely "single-grain metal" for low-resistance vias and direct-etched metal interconnect planned for 2GAP and beyond.[34][needs update]

In August 2022, a consortium of Japanese companies funded a new venture with government support called Rapidus for manufacturing of 2 nm chips. Rapidus signed agreements with imec[35] and IBM[36] in December 2022.[needs update]

In April 2023, at its Technology Symposium, TSMC introduced two more processes of its 2 nm technology platform: "N2P" featuring backside power delivery and scheduled for 2026, and "N2X" for high-performance applications. It was also revealed that the ARM Cortex-A715 core fabbed on the N2 process using a high-performance standard library was 16.4% faster at the same power, saved 37.2% of power at the same speed, or was ~10% faster and saved ~20% of power simultaneously at the same voltage (0.8 V) compared to the core fabbed on N3E using 3-2 fin library.[37]

In September 2024, Intel announced they would no longer be moving forward with their 20A process node, instead focusing on the development of 18A. Intel projected that avoiding ramping production of 20A could save over half a billion dollars. Intel noted that they'd successfully implemented RibbonFET gate-all-around architecture and PowerVia backside power delivery in their 20A process, accelerating 18A development. Intel's Arrow Lake family of processors, which were meant to use Intel 20A, will instead have dies sourced from "external partners" and packaged by Intel.[7][38]

2 nm process nodes

edit
Samsung[39][34][40][41] TSMC Intel
Process name SF2 SF2P SF2X SF2Z N2 N2P N2X 20A 18A
Transistor type MBCFET GAAFET RibbonFET
Transistor density (MTr/mm2) Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known
SRAM bit-cell size (μm2) Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known
Transistor gate pitch (nm) Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known
Interconnect pitch (nm) Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known Un­known
Release status 2025 volume production[32] 2026 volume production 2026 volume production 2027 volume production 2025 risk production
2025 H2 volume production[42]
2026 H2 volume production[42] 2026 H2 volume production[42] 2024 H1 risk production[43]
2024 volume production[31][30]
Canceled 2024[44]
2024 H2 risk production[43]
2025 H1 production[31][30][45]

Beyond 2 nm

edit

In July 2021, Intel reported that they planned 18A production for 2025.[30] Intel's February 2022 roadmap added that 18A was previously expected to have delivered 10% improvement in performance per watt compared to Intel 20A.[7] Intel's August 2024 newsroom announcement further indicated that the 18A process should be manufacturing-ready for 2025 H1.[46]

In December 2021, Vertical-Transport FET (VTFET) CMOS logic transistor design with a vertical nanosheet was demonstrated at sub-45 nm gate pitch.[47]

In May 2022, imec presented a process technology roadmap which extends the current biannual cadence of node introduction and square-root-of-two node naming rule to 2036. The roadmap ends with process node "A2" (meant to represent a 2 angstrom node), named by analogy with TSMC's naming scheme to be introduced by then.[48]

Apart from the expected shrinking of transistor structures and interconnects, innovations forecasted by imec were as follows:[needs update]

  • transistor architecture (forksheet FET, CFET, CFET with atomic (2D material) channel);
  • deployment of high-NA (0.55) EUV tools with the first $400 million tool to be completed at ASML in 2023, and the first production tool was shipped to and installed at Intel in 2024;[49]
  • further reduction of standard cell height (eventually to "less than 4" tracks);
  • back-side power distribution, buried power rails;
  • new materials (ruthenium for metallization (interconnects), graphene, WS2 monolayer for atomic channel);
  • new manufacturing techniques (subtractive metallization, direct metal etch);
  • air gaps to further reduce relative permittivity of intermetal dielectric and, therefore, interconnect capacitance;
  • IC design innovations (2.5D chiplets, 3D interconnect), more advanced EDA tools.

In September 2022, Samsung presented their future business goals, which at that time included an aim to mass-produce 1.4 nm by 2027.[50]

As of 2023, Intel, TSMC and Samsung have all demonstrated CFET transistors. These transistors are made up of two stacked horizontal nanosheet transistors, one transistor is of the p-type (a pFET transistor) and the other transistor is of the n-type (an nFET transistor).[51]

Notes

edit
  1. ^ Under Intel's previous naming scheme this node was known as 'Intel 5 nm'.[30]

References

edit
  1. ^ INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: More Moore, IEEE, 2021, p. 7, archived from the original on 7 August 2022, retrieved 7 August 2022
  2. ^ "TSMC's 7nm, 5nm, and 3nm "are just numbers… it doesn't matter what the number is"". 10 September 2019. Archived from the original on 17 June 2020. Retrieved 20 April 2020.
  3. ^ Samuel K. Moore (21 July 2020). "A Better Way to Measure Progress in Semiconductors: It's time to throw out the old Moore's Law metric". IEEE Spectrum. IEEE. Archived from the original on 2 December 2020. Retrieved 20 April 2021.
  4. ^ a b Shilov, Anton. "TSMC: Performance and Yields of 2nm on Track, Mass Production To Start In 2025". www.anandtech.com. Retrieved 10 September 2024.
  5. ^ Salman, Ali (9 July 2024). "Apple Supplier TSMC Will Begin Trial Production Of 2nm Chips Next Week, Aiming To Secure A Stable Yield Before Mass Production". Wccftech. Retrieved 10 September 2024.
  6. ^ Shilov, Anton. "Samsung Foundry Unveils Updated Roadmap: BSPDN and 2nm Evolution Through 2027". www.anandtech.com. Retrieved 10 September 2024.
  7. ^ a b c Alcorn, Paul (4 September 2024). "Intel announces cancellation of 20A process node for Arrow Lake, goes with external nodes instead, likely TSMC [Updated]". Tom's Hardware. Retrieved 10 September 2024.
  8. ^ "The Increasingly Uneven Race to 3nm/2nm". 24 May 2021.
  9. ^ "What's Different About Next-Gen Transistors". 20 October 2022.
  10. ^ "Intel's Stacked Nanosheet Transistors Could be the Next Step in Moore's Law".
  11. ^ "Nanowire Transistors Could Keep Moore's Law Alive".
  12. ^ "Nanowires give vertical transistors a boost". 2 August 2012.
  13. ^ "What's After FinFETs?". 24 July 2017.
  14. ^ "Transistor Options Beyond 3nm". 15 February 2018.
  15. ^ Patterson, Alan (12 September 2018), "TSMC: Chip Scaling Could Accelerate", www.eetimes.com, archived from the original on 24 September 2018, retrieved 23 September 2020
  16. ^ Merritt, Rick (4 March 2019), "SPIE Conference Predicts Bumpy Chip Roadmap", www.eetasia.com, archived from the original on 27 June 2019, retrieved 23 September 2020
  17. ^ Zafar, Ramish (12 June 2019), TSMC To Commence 2nm Research In Hsinchu, Taiwan Claims Report, archived from the original on 7 November 2020, retrieved 23 September 2020
  18. ^ "Highlights of the day: TSMC reportedly adopts GAA transistors for 2nm chips", www.digitimes.com, 21 September 2020, archived from the original on 23 October 2020, retrieved 23 September 2020
  19. ^ Wang, Lisa (26 August 2020), "TSMC developing 2nm tech at new R&D center", taipeitimes.com, archived from the original on 24 January 2021, retrieved 23 September 2020
  20. ^ Chien-Chung, Chang; Huang, Frances (23 September 2020), "TSMC to build 2nm wafer plant in Hsinchu", focustaiwan.tw, archived from the original on 25 October 2020, retrieved 23 September 2020
  21. ^ Udin, Efe (23 September 2020), "TSMC 2NM PROCESS MAKES A SIGNIFICANT BREAKTHROUGH", www.gizchina.com, archived from the original on 19 October 2021, retrieved 24 September 2021
  22. ^ 台积电2nm工艺重大突破!2023年风险试产良率或达90% (in Chinese), 22 September 2020, archived from the original on 24 September 2021, retrieved 24 September 2021
  23. ^ "Taiwan gives TSMC green light for most advanced chip plant". Nikkei Asia. Archived from the original on 4 November 2021. Retrieved 24 August 2021.
  24. ^ Cutress, Ian, "Intel's Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm", www.anandtech.com, archived from the original on 12 January 2021, retrieved 23 September 2020
  25. ^ Dahad, Nitin (9 December 2020), "EU Signs €145bn Declaration to Develop Next Gen Processors and 2nm Technology", www.eetimes.eu, archived from the original on 10 January 2021, retrieved 9 January 2021
  26. ^ Joint declaration on processors and semiconductor technologies, EU, 7 December 2020, archived from the original on 11 January 2021, retrieved 9 January 2021
  27. ^ Nellis, Stephen (6 May 2021), "IBM unveils 2-nanometer chip technology for faster computing", Reuters, archived from the original on 7 May 2021, retrieved 6 May 2021
  28. ^ Johnson, Dexter (6 May 2021), "IBM Introduces the World's First 2-nm Node Chip", IEEE Spectrum, archived from the original on 7 May 2021, retrieved 7 May 2021
  29. ^ 12 nm gate length is the dimension defined by the IRDS 2020 to be associated with the "1.5 nm" process node: [1] Archived 24 June 2021 at the Wayback Machine
  30. ^ a b c d e f Cutress, Dr Ian (26 July 2021). "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!". www.anandtech.com. Archived from the original on 3 November 2021. Retrieved 27 July 2021.
  31. ^ a b c d e Santo, Brian (27 July 2021), "Intel Charts Manufacturing Course to 2025", www.eetimes.com, archived from the original on 19 August 2021, retrieved 11 August 2021
  32. ^ a b "Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices". Samsung. 7 October 2021. Archived from the original on 8 April 2022. Retrieved 9 May 2022.
  33. ^ "TSMC Q2 2022 Earnings Call" (PDF). TSMC. 14 July 2022. Archived (PDF) from the original on 15 July 2022. Retrieved 22 July 2022.
  34. ^ a b "Samsung 3nm GAAFET Enters Risk Production; Discusses Next-Gen Improvements". WikiChip Fuse. 5 July 2022.
  35. ^ Manners, David (16 December 2022). "Imec and Rapidus sign up for 2nm". Electronics Weekly.
  36. ^ Humphries, Matthew (13 December 2022). "Japan to Manufacture 2nm Chips With a Little Help From IBM". PCMAG.
  37. ^ "TSMC Outlines 2nm Plans: N2P Brings Backside Power Delivery in 2026, N2X Added To The Roadmap". AnandTech. 26 April 2023.
  38. ^ Sell, ben (4 September 2024). "Continued Momentum for Intel 18A". Intel. Retrieved 11 September 2024.
  39. ^ "Samsung Foundry: 2nm Silicon in 2025". AnandTech. 6 October 2021.
  40. ^ "Samsung Foundry Update: 2nm Unveil in June, Second-Gen SF3 3nm Hits Production This Year".
  41. ^ "Samsung Foundry Unveils Updated Roadmap: BSPDN and 2nm Evolution Through 2027".
  42. ^ a b c "TSMC 2nm Update: N2 in 2025, N2P Loses Backside Power, and NanoFlex Brings Optimal Cells".
  43. ^ a b "Intel Unveils Meteor Lake Architecture: Intel 4 Heralds the Disaggregated Future of Mobile CPUs".
  44. ^ "Continued Momentum for Intel 18A". 4 September 2024.
  45. ^ Discuss, btarunr (26 April 2024). "Intel Reports First-Quarter 2024 Financial Results". TechPowerUp.
  46. ^ "Intel 18A powered on and healthy, on track for next-gen client and server chip production next year". Intel. 6 August 2024.
  47. ^ Jagannathan, H.; et al. (2021). "Vertical-Transport Nanosheet Technology for CMOS Scaling beyond Lateral-Transport Devices". 2021 IEEE International Electron Devices Meeting (IEDM). pp. 26.1.1–26.1.4. doi:10.1109/IEDM19574.2021.9720561. ISBN 978-1-6654-2572-8. S2CID 247321213.
  48. ^ "Imec Presents Sub-1nm Process and Transistor Roadmap Until 2036". Tom's Hardware. 21 May 2022.
  49. ^ High NA EUV at Intel received in 27 September 2024
  50. ^ "Samsung Electronics Unveils Plans for 1.4nm Process Technology and Investment for Production Capacity at Samsung Foundry Forum 2022". Samsung Global Newsroom. 4 October 2022.
  51. ^ "Intel, Samsung, and TSMC Demo 3D-Stacked Transistors - IEEE Spectrum".

Further reading

edit
Preceded by
"3 nm" (FinFET/GAAFET)
MOSFET semiconductor device fabrication process Succeeded by
unknown